1- Write a program that reads a character and prints it only if it is 'y' or 'Y' (Simulation of If-Else then structure). emulator screen (80x25 chars) The input character is not "y" or "Y". emulator screen (80x25 chars)

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question

 1_ Write a program that reads a character and prints it only if it is 'y' or 'Y' (Simulation of If-Else then structure).

2_Write a program that prints the odd numbers between 1 and 10 using loop (Number 1 ASCII code is 49).

1- Write a program that reads a character and prints it only if
it is 'y' or 'Y' (Simulation of If-Else then structure).
SEh emulator screen (80x25 chars)
Enter the character: n
The input character is not "y" or "Y".
emulator screen (80x25 chars)
Enter the character: y
The input letter is:
2- Write a program that prints the odd numbers between 1
and 10 using loop (Number 1 ASCII code is 49).
SEN emulator screen (80x25 chars)
13579
Transcribed Image Text:1- Write a program that reads a character and prints it only if it is 'y' or 'Y' (Simulation of If-Else then structure). SEh emulator screen (80x25 chars) Enter the character: n The input character is not "y" or "Y". emulator screen (80x25 chars) Enter the character: y The input letter is: 2- Write a program that prints the odd numbers between 1 and 10 using loop (Number 1 ASCII code is 49). SEN emulator screen (80x25 chars) 13579
Expert Solution
steps

Step by step

Solved in 3 steps with 3 images

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY